(1)
IMPLEMENTATION OF 16 BIT RISC PROCESSOR USING VHDL. GJAETS 2016, 3 (3), 1-10.